and by the way... in my test sometimes there aren't 30 digits. frown
Code:
 alias makebin { 
  var %zzz = $make.bin
  if $len( %zzz ) == 30 { 
    echo 12 $len( %zzz ) -> %zzz
  } 
  else $makebin
}